25.1. ROM





ПЗУ (постоянное запоминающее устройство)
ROM (read-only memory)



Пример ROM 4x4 (16 бит)

ПЗУ состоит из дешифратора и матрицы памяти. В матрице памяти в каждом кружочке может быть а может не быть ячейка ПЗУ.

Диодное ПЗУ - ячейка ПЗУ сделана на диодах Когда линия Ci выбрана дешифратором диод пропускает ток на выходную линию Yi.

Транзисторное ПЗУ - ячейка сделана на транзисторе. Когда линия Yi выбрана дешифратором транзистор открыт и доводит уровень линии Ci до 0 (Ci подпитывается от питания).


Поведенческая модель ROM на VHDL: (ROM c конкретным содержанием на 16 байт): library ieee; use ieee.std_logic_1164.all; entity VROM16 is port( X : in std_logic_vector(0 to 3); Y : out std_logic_vector(0 to 7)); end VROM16; architecture Example of VROM16 is signal S:std_logic_vector(0 to 7); begin process(X,S) begin case X is -- Data when "0000" => S <= "11111111"; -- FF when "0001" => S <= "11101110"; -- EE when "0010" => S <= "11011101"; -- DD when "0011" => S <= "11001100"; -- CC when "0100" => S <= "10111011"; -- BB when "0101" => S <= "10101010"; -- AA when others => S <= "00000000"; -- 00 end case; Y <= S; end process; end Example;


Index Prev Next